作业帮 > 写作技巧 > 教育资讯

16路旋转彩灯

来源:学生作业帮助网 编辑:作业帮 时间:2024/09/25 10:39:17 写作技巧
16路旋转彩灯写作技巧

篇一:16路彩灯

基于Verilog的16路彩灯控制系统的设计与仿真

一、设计内容

设计一个基于PLD的节日彩灯控制系统,要求有一下四种演示花型:

(1)、16路彩灯同时亮灭,亮、灭节拍交替进行;

(2)、16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭;

(3)、16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示;

(4)、16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为花型

(1)、花型(2)、花型(3)、花型(4),演示过程循环进行。

二、设计步骤

本设计使用QUARTUSⅡ软件进行设计及仿真

1、创建工程

点击菜单File\New Project Wizard…….进行工程设置。完成工作文件夹的选定、工程名、顶层设计文件名、编程器件的确定等工程。

2、程序编写

根据要求设计输入为一个reset端口、一个输入时钟端口CLK,定义输出为一个十六位的端口z。

3、程序列表

module caideng_16(reset,clk,z);

input reset,clk;

16路旋转彩灯

output[15:0] z;

reg[15:0] z;

reg[6:0] state;

parameter

s0='d0,s1='d1,s2='d2,s3='d3,s4='d4,s5='d5,s6='d6,s7='d7,s8='d8,s9='d9,s10='d10,s11='d11,s12='d12,s13='d13,s14='d14,s15='d15,

s16='d16,s17='d17,s18='d18,s19='d19,s20='d20,s21='d21,s22='d22,s23='d23,s24='d24,s25='d25,s26='d26,s27='d27,s28='d28,s29='d29,s30='d30,s31='d31,

s32='d32,s33='d33,s34='d34,s35='d35,s36='d36,s37='d37,s38='d38,s39='d39,s40='d40,s41='d41,s42='d42,s43='d43,s44='d44,s45='d45,s46='d46,s47='d47,

s48='d48,s49='d49,s50='d50,s51='d51,s52='d52,s53='d53,s54='d54,s55='d55,s56='d56,s57='d57,s58='d58,s59='d59,s60='d60,s61='d61,s62='d62,s63='d63,

s64='d64,s65='d65,s66='d66,s67='d67,s68='d68,s69='d69,s70='d70,s71='d71,s72='d72,s73='d73,s74='d74,s75='d75,s76='d76,s77='d77,s78='d78,s79='d79,

s80='d80,s81='d81,s82='d82,s83='d83,s84='d84,s85='d85,s86='d86,s87='d87,s88='d88,s89='d89,s90='d90,s91='d91,s92='d92,s93='d93,s94='d94,s95='d95,

s96='d96,s97='d97,s98='d98,s99='d99,s100='d100,s101='d101,s102='d102,s103='d103,s104='d104,s105='d105,s106='d106,s107='d107,s108='d108,s109='d109,

s110='d110,s111='d111,s112='d112,s113='d113,s114='d114,s115='d115,s116='d116,s117='d117,s118='d118,s119='d119,s120='d120,s121='d121,s122='d122,

s123='d123,s124='d124,s125='d125,s126='d126,s127='d127;

always @(posedge clk)

begin

if(reset) state<=s0;

else case(state)

s0:state<=s1; s1:state<=s2; s2:state<=s3; s3:state<=s4; s4:state<=s5; s5:state<=s6; s6:state<=s7; s7:state<=s8;

s8:state<=s9; s9:state<=s10; s10:state<=s11; s11:state<=s12; s12:state<=s13; s13:state<=s14; s14:state<=s15; s15:state<=s16;

s16:state<=s17; s17:state<=s18; s18:state<=s19; s19:state<=s20;

s20:state<=s21; s21:state<=s22; s22:state<=s23; s23:state<=s24;

s24:state<=s25; s25:state<=s26; s26:state<=s27; s27:state<=s28;

s28:state<=s29; s29:state<=s30; s30:state<=s31; s31:state<=s32;

s32:state<=s33; s33:state<=s34; s34:state<=s35; s35:state<=s36;

s36:state<=s37; s37:state<=s38; s38:state<=s39; s39:state<=s40;

s40:state<=s41; s41:state<=s42; s42:state<=s43; s43:state<=s44;

s44:state<=s45; s45:state<=s46; s46:state<=s47; s47:state<=s48;

s48:state<=s49; s49:state<=s50; s50:state<=s51; s51:state<=s52;

s52:state<=s53; s53:state<=s54; s54:state<=s55; s55:state<=s56;

s56:state<=s57; s57:state<=s58; s58:state<=s59; s59:state<=s60;

s60:state<=s61; s61:state<=s62; s62:state<=s63; s63:state<=s64;

s64:state<=s65; s65:state<=s66; s66:state<=s67; s67:state<=s68;

s68:state<=s69; s69:state<=s70; s70:state<=s71; s71:state<=s72;

s72:state<=s73; s73:state<=s74; s74:state<=s75; s75:state<=s76;

s76:state<=s77; s77:state<=s78; s78:state<=s79; s79:state<=s80;

s80:state<=s81; s81:state<=s82; s82:state<=s83; s83:state<=s84;

s84:state<=s85; s85:state<=s86; s86:state<=s87; s87:state<=s88;

s88:state<=s89; s89:state<=s90; s90:state<=s91; s91:state<=s92;

s92:state<=s93; s93:state<=s94; s94:state<=s95; s95:state<=s96;

s96:state<=s97; s97:state<=s98; s98:state<=s99; s99:state<=s100;

s100:state<=s101; s101:state<=s102; s102:state<=s103; s103:state<=s104;

s104:state<=s105;s105:state<=s106;s106:state<=s107;s107:state<=s108;s108:state<=s109;s109:state<=s110; s110:state<=s111; s111:state<=s112;

s112:state<=s113;s113:state<=s114;s114:state<=s115;s115:state<=s116;s116:state<=s117;s117:state<=s118; s118:state<=s119; s119:state<=s120;

s120:state<=s121;s121:state<=s122;s122:state<=s123;s123:state<=s124;s124:state<=s125;s125:state<=s126; s126:state<=s127; s127:state<=s0;

endcase

end

always @(state) //hua xing kong zhi

begin case(state)

s0:z<=16'b0000000000000000; s1:z<=16'b1111111111111111; s2:z<=16'b0000000000000000; s3:z<=16'b1111111111111111;

s4:z<=16'b0000000000000000; s5:z<=16'b1111111111111111; s6:z<=16'b0000000000000000; s7:z<=16'b1111111111111111; //hua xing 1

s8:z<=16'b0101010101010101; s9:z<=16'b1010101010101010; s10:z<=16'b0101010101010101; s11:z<=16'b1010101010101010;

s12:z<=16'b0101010101010101; s13:z<=16'b1010101010101010; s14:z<=16'b0101010101010101; s15:z<=16'b1010101010101010; //hua xing 2

s16:z<=16'b1000000000000000; s17:z<=16'b1100000000000000; s18:z<=16'b1110000000000000; s19:z<=16'b1111000000000000;

s20:z<=16'b1111100000000000; s21:z<=16'b1111110000000000; s22:z<=16'b1111111000000000; s23:z<=16'b1111111100000000;

s24:z<=16'b1111111110000000; s25:z<=16'b1111111111000000; s26:z<=16'b1111111111100000; s27:z<=16'b1111111111110000;

s28:z<=16'b1111111111111000; s29:z<=16'b1111111111111100; s30:z<=16'b1111111111111110; s31:z<=16'b1111111111111111;

s32:z<=16'b1111111111111110; s33:z<=16'b1111111111111100; s34:z<=16'b1111111111111000; s35:z<=16'b1111111111110000;

s36:z<=16'b1111111111100000; s37:z<=16'b1111111111000000; s38:z<=16'b1111111110000000; s39:z<=16'b1111111100000000;

s40:z<=16'b1111111000000000; s41:z<=16'b1111110000000000; s42:z<=16'b1111100000000000; s43:z<=16'b1111000000000000;

s44:z<=16'b1110000000000000; s45:z<=16'b1100000000000000; s46:z<=16'b1000000000000000; s47:z<=16'b0000000000000000;

s48:z<=16'b1000000000000000; s49:z<=16'b1100000000000000; s50:z<=16'b1110000000000000; s51:z<=16'b1111000000000000;

s52:z<=16'b1111100000000000; s53:z<=16'b1111110000000000; s54:z<=16'b1111111000000000; s55:z<=16'b1111111100000000;

s56:z<=16'b1111111110000000; s57:z<=16'b1111111111000000; s58:z<=16'b1111111111100000; s59:z<=16'b1111111111110000;

s60:z<=16'b1111111111111000; s61:z<=16'b1111111111111100; s62:z<=16'b1111111111111110; s63:z<=16'b1111111111111111;

s64:z<=16'b1111111111111110; s65:z<=16'b1111111111111100; s66:z<=16'b1111111111111000; s67:z<=16'b1111111111110000;

s68:z<=16'b1111111111100000; s69:z<=16'b1111111111000000; s70:z<=16'b1111111110000000; s71:z<=16'b1111111100000000;

s72:z<=16'b1111111000000000; s73:z<=16'b1111110000000000; s74:z<=16'b1111100000000000; s75:z<=16'b1111000000000000;

s76:z<=16'b1110000000000000; s77:z<=16'b1100000000000000; s78:z<=16'b1000000000000000; s79:z<=16'b0000000000000000; //hua xing 3

s80:z<=16'b1000000000000001; s81:z<=16'b1100000000000011;

s82:z<=16'b1110000000000111; s83:z<=16'b1111000000001111;

s84:z<=16'b1111100000011111; s85:z<=16'b1111110000111111; s86:z<=16'b1111111001111111; s87:z<=16'b1111111111111111;

s88:z<=16'b1111111001111111; s89:z<=16'b1111110000111111; s90:z<=16'b1111100000011111; s91:z<=16'b1111000000001111;

s92:z<=16'b1110000000000111; s93:z<=16'b1100000000000011; s94:z<=16'b1000000000000001; s95:z<=16'b0000000000000000;

s96:z<=16'b1000000000000001; s97:z<=16'b1100000000000011; s98:z<=16'b1110000000000111; s99:z<=16'b1111000000001111;

s100:z<=16'b1111100000011111; s101:z<=16'b1111110000111111; s102:z<=16'b1111111001111111; s103:z<=16'b1111111111111111;

s104:z<=16'b1111111001111111; s105:z<=16'b1111110000111111; s106:z<=16'b1111100000011111; s107:z<=16'b1111000000001111;

s108:z<=16'b1110000000000111; s109:z<=16'b1100000000000011; s110:z<=16'b1000000000000001; s111:z<=16'b0000000000000000;

s112:z<=16'b1000000000000001; s113:z<=16'b1100000000000011; s114:z<=16'b1110000000000111; s115:z<=16'b1111000000001111;

s116:z<=16'b1111100000011111; s117:z<=16'b1111110000111111; s118:z<=16'b1111111001111111; s119:z<=16'b1111111111111111;

s120:z<=16'b1111111001111111; s121:z<=16'b1111110000111111; s122:z<=16'b1111100000011111; s123:z<=16'b1111000000001111;

s124:z<=16'b1110000000000111; s125:z<=16'b1100000000000011; s126:z<=16'b1000000000000001; s127:z<=16'b0000000000000000; //hua xing 4

endcase

end

endmodule

4、编译、仿真

三、仿真结果

上图所示为输出花型(1)、花型(2)以及花型(3)(部分)

图示为输出花型(3)

图示为输出花型(4)(部分)

四、设计总结

通过本次设计的完成,我们更加熟悉了如何使用quartus II软件进行PLD设计,加深了我们对Verilog语言语法的掌握程度。也使我们认识到在进行电子系统设计时quartus II软件是一款设计及仿真非常实用且功能非常强大应用软件,可以大大减少我们设计的成本和设计周期,值得在学生、老师当中推广使用。

篇二:16路循环彩灯设计报告

循环彩灯控制电路的设计

一、 课程设计的目的

1、巩固和加强《数字电子技术》课程的理论知识。

2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。

3、掌握电子电路安装和调试的方法及其故障排除方法,学会使用multisim软件对电路仿真。

4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。

5、培养创新能力和创新思维。

二、 任务的描述

用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型:

花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。能花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。

花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。

花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。

要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。

三、设计任务分析

根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。

四、具体设计过程的描述

根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。

由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。

将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

为了方便操作,设置一个加电后的手工复位信号RST。当RST有效时,将控制器模块CONTR置于合适的初始状态,使其从花型1开始演示;同时将定时器模块T256S异步清0,使计时电路一开始就能正常工作。 循环彩灯的整体结构框图如下图所示:

图1 循环彩灯整体结构框图

框图中,CO为定时器模块T256S的时间到输出,实际上就是模128计数器的进位输出,当T256S处于127时,CO为1。DR\DL分别为移位寄存器模块的右移和左移串行数据输入端,Ml、M0为移位寄存器模块的方式控制端。当MlM0=00时,移位寄存器处于保持状态;当MlM0=01时,移位寄存器处于右移状态;当MlM0=10时,移位寄存器处于左移状态;当MlM0=11时,移位寄存器处于并行置数状态。

根据规定的彩灯亮灭规律,导出系统控制器的ASM图,如图2系统控制器的ASM图所示。

其中,SRl6为2个8位移位寄存器模块LSR8和RSR8级联构成的16位移位寄存器,部分操作符号功能定义如下。

. SLO:将括号内指定的移位寄存器模块左移1位,右侧位移入0。 . SL1: 将括号内指定的移位寄存器模块左移1位,右侧位移入1。 . SR0:将括号内指定的移位寄存器模块右移1位,左侧位移入0。

. SRl: 将括号内指定的移位寄存器模块右移1位,左侧位移入1。 设计控制算法时,要注意保证判别条件T0(即定时器T256S的时间到输出,只可能在判别它的状态下能够为1,否则,系统将不能正常工作。由于本系统中花型1、花型2演示一遍需要2个时钟周期,花型3演示一遍需要32个时钟周期,花型4演示一遍需要16个时钟周期,而每种花型演示时间为128个时钟周期,所以,只要加电复位后控制器处于So状态,定时器处于0状态,且控制器和定时器同步工作,在每种花型的第2个状态判断T0的状态可以满足时序上的要求。

图 2 系统控制ASM图

256秒定时器模块T256S可以用两片74163级联实现,由于模为128且需要产生进位输出,所以必须将两片74163级联为128进制的程控计数器。对于两个移位寄存器模块LSR8和RSR8,可以用74198实现。

细化数据子系统结构的控制图如下图所示:

图3 16路循环彩灯控制结构图

我们可以根据循环彩灯的花型变换以及上述数据子系统列出74LS163的控制激励表:

图4 74LS163的控制激励表

LD,B1,B0,A1,A0的表达式比较复杂,我选择用数据选择器实现,其数

根据上述数据选择表画出循环彩灯控制电路的控制器

图6 以74LS163为核心构成的彩灯控制系统的控制电路

五、设计方案的确定(附具体电路图)

电路图由输出部分即数据子系统及控制电路部分组成。数据子系统由两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S组成。256秒定时器模块T256S可以用两片74163级联实现,由于模为128且需要产生进位输出,所以必须将两片74163级联为128进制的程控计数器。对于两个移位寄存器模块LSR8和RSR8,可以用74198实现。

具体电路图如下图所示:

篇三:16路循环彩灯 课设报告 马轩 北京工业大学

应用电子技术基础课程设计

报告书

循环彩灯

学院: 机电学院 专业: 机械工程及自动化 学号: 09042127 姓名: 马轩 指导教师: 崔 晶

日期: 2012年04月18日

目录

一、电路原理图: ........................................................................................................................... 2 二、工作原理分析 ........................................................................................................................... 3

1.概述........................................................................................................................................ 3 2.主要模块功能分析 ................................................................................................................ 4 3.整体电路功能分析 ................................................................................................................ 9 三、电路的仿真及结果分析 ......................................................................................................... 10 四、元器件选择 ............................................................................................................................. 11 五、电路的制作与实验测试 ......................................................................................................... 12

1.电路仿真问题与解决方案 .................................................................................................. 12 2.电路制作问题与解决方案 .................................................................................................. 12 3.电路板实物图 ...................................................................................................................... 13 4.测试实验 .............................................................................................................................. 14 六、线路改进意见 ......................................................................................................................... 15 七、思考题..................................................................................................................................... 16 八、课程设计的收获和体会 ......................................................................................................... 16

循环彩灯

作者:马轩 09010102 指导教师:崔晶

?

摘要 “循环彩灯”可以通过CD4024-7位串行2进制计数器,产生不同频率的电压,从而获得不同的规律的脉冲电压。同时,得到的电压作为触发电压接入74ls164-8位串入,并出移位寄存器,从而得到循环输出的低电平电压,输出口接发光二级管就制作成循环彩灯,其中5个开关可控制得到不同频率的触发电压。

关键词 串行计数器 移位寄存器 循环

一、电路原理图:

图1 电路原理图

二、工作原理分析

1.概述

“循环彩灯”的工作原理是通过自身的7位2进制计数器模块产生的不同周期的电压,利用其中四路并联输出电压作为74ls164的时钟输入电压,另取一个周期较小的电压作为74ls164的DSA数据输入端。74ls164是一个移位寄存器,时钟由低变高时右移一位,DSA与DSB作为寄存器的Q0并联输入端,另有Q1-Q7 -7个输出端。利用两个74ls164的16个电压输出连接16个发光二级管并通过CD4024和74ls164产生的移位低电压来实现彩灯的循环点亮。5个开关控制并联脉冲电压频率。电路中最重要的部分是7位串行2进制计数器,频率为50Hz,负责产生不同频率的脉冲电压。

各模块间关系图如下:

图2 模块关系图

2.主要模块功能分析

(1)二进制计数器模块:

CD4024是7位二进制串行计数器。所有的计数器为主从触发器。计数器在时钟下降 沿进行计数。CR为高电平时,对计数器进行清零。由于在时钟输入端使用斯密特触发器,对脉冲上升和下降时间无限制,所有输入和输出均经过缓冲。内部逻辑图如下:

图3 CD4024逻辑图

各引脚功能如下:CP 时钟输入端 1

CR 清除端 2

Q0~Q6 计数器脉冲输出端 12 11 9 6 5 4 3 VDD 正电源 14 Vss 地 7

图4 引脚功能图

计数器功能如下:

篇四:16路循环彩灯控制器

南华大学电气工程学院

《电子技术课程设计》任务书

设计题目: 专 业: 学生姓名: 周世闻 学号: 20114400123 起迄日期: 指导教师: 教研室主任:

课 程 设 计 目 录

一、内容摘要及关键词????????????????????????????????????3 二、课程设计任务书??????????????????????????????????????5 三、课程设计方案及结构框图??????????????????????????????7 四、课程设计原理????????????????????????????????????????8 五、各单元电路的设计、计算及说明????????????????????????8 六、课题整体电路图?????????????????????????????????????13 七、芯片功能及其原理介绍???????????????????????????????14 八、所用元器件清单?????????????????????????????????????24 九、课程设计的心得体会?????????????????????????????????25 十、参考文献???????????????????????????????????????????26

摘 要 数字电路是一门专业的基础课程,它具有很强的理论性与十分广泛的工程应

用,本次课程设计的主要目的是掌握数字电子技术的一些基础知识,能够在一定程度上应用数电子技术的相关知识解决实际问题。

此次的课程设计是十六路循环彩灯控制器,此电路由四部分组成。如下: 第一部分是由555计时器组成的信号发生器,控制频率的变化; 第二部分是由74HC163计数器组成的计数部分,其时钟信号由555计时器提供;

第三部分是由74HC154译码器组成的,计数器输出不同的计数结果,即可控制译码器译码得到不同的输出信号,决定彩灯的循环变化。如果使得计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

而最后一部分则主要是由十六个彩灯构成的输出显示部分,显示在输入的信号不同时的输出结果,方便得出实验结果,进行此次实验设计的总结。

关键词:555计时器、74HC163计数器、74HC154译码器、LED彩灯、

控制器、原理。

summary

Digital circuit is a professional foundation courses,it has a strong

theoretical and very extensive engineering applications,the main purpose of this course is to master the design of digital electronic technology some of the basics, to a certain extent, the number of electronic technology applications relevant knowledge to solve practical problems.

The curriculum is designed Sixteenth Street cycle lantern

controller, this circuit consists of four parts.

The first part is composed of the timer signal generator 555 controls

the frequency change;

The second part is composed of counting in part by the 74HC163

counter, the clock signal is provided by a 555 timer;

The third part is a 74HC154 decoder composed of different counting

result of the counter output, the decoder can control an output signal obtained by decoding a different decision cycling lantern.If the control of the counter so that the different control input signal, a different count, the type seen at the output cycle of the output lights.

The last part is mainly constituted by the output of the sixteen

lights display section not shown in the input signal while the output of a convenient experimental results obtained, the summary of the experimental design.

Key words:555 control、74HC163 counter、74HC154 decoder、

LED lights、controller、principle。

《电子技术课程设计》任务书

篇五:16路循环彩灯控制器 敖健

通信与电子工程学院

设计题目:16路循环彩灯控制器

专业班级: 电子信息工程 122班

学生姓名: 敖 健学 号: 2012131071

指导教师: 朱 磊

摘要:数字电路是一门理论性强、工程应用广的专业基础课程。为了训练读者对数字电路及系统的理解,设计、调试的实际动手能力,特在数字电路课程中设置了课程设计这一重要的实践环节,以便在实践中掌握数字系统的设计方法。

关键词:计数器 555定时器 译码器

目 录

摘要..................................................................... II

第 1 章 设计要求及主要技术指标 ......................................... 1

第 2 章 实验方案与原理................................................... 2

第 3 章 各单元电路的设计、计算和说明..................................... 3

3.1 555时序电路 ......................................................... 3

3.2 74LS191计数部分 ..................................................... 3

3.3 74LS154译码电路与LED显示部分 ....................................... 4

第 4 章 整体电路图及元件................................................. 5

第 5 章 芯片功能......................................................... 6

5.1 NE555................................................................ 6

5.1.1 555的管脚图 ....................................................... 6

5.1.2 555定时器各个管脚的名称与功能如表 ............................... 6

5.1.3 555的应用 ......................................................... 6

5.2 74LS191.............................................................. 8

5.2.1 74LS191 简介 .................................................... 8

5.2.2 74LS191应用 ....................................................... 8

5.2.3 功能图............................................................. 9

5.3 74LS154.............................................................. 9

5.3.1 74ls154功能简介: .................................................. 9

5.3.2 74LS154管脚图: ................................................... 9

5.3.3 74LS真值表 ....................................................... 10

第 6 章 实验现象........................................................ 11

第 7 章 课程设计的心得体会.............................................. 11

参考文献................................................................. 12

附录..................................................................... 14

第 1 章 设计要求及主要技术指标

利用移位寄存器和计数器等设计一彩灯控制电路,改变电路的不同工作状态,控制彩灯变幻出不同的闪烁效果。电路实用,也可以通过计算机仿真直观地看到循环彩灯的控制效果,综合运用所学数字电路知识,学会设计和调试方法,

从而产生浓厚兴趣。如果稍微改动控制电路,可以更加完善,完成基于移位寄存器的彩灯控制器设计。

在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果,利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。因此,彩灯控制电路应用越来越丰富我们的生活,成为我们生活不可缺少的一部分。本课题是利用四位双向移位寄存器为核心元件实现彩灯的循环控制。

要求:要求输出功率 >0.1W,电源电压为DC5V,利用按键控制彩灯循环显示。

第 2 章 实验方案与原理

可以采用555、74HC163和74LS154作为控制器,LED作为彩灯制作十六路循环彩灯。

综合运用已学习过模拟电路和数字电路等知识,阅读相关集成电路芯片资料和相关文献,了解电子电路设计的有关知识,方法和特点,掌握基本的电子电路设计和芯片使用方法。 该电路由555定时器、74LS191计数器和74LS154译码器,74LS191计数器的时钟信号由555振荡电路提供,改变555的振荡频率,即可改变计数器的快慢,即可控制彩灯闪烁的快慢。计数器输出信号输人至74LS154译码器,由74LS154译码,根据计数输出不同的计数结果,即可控制74LS154译码器译码得到不同的输出信号,决定彩灯的循环变化。显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

本课程设计由555构成多谐振荡器来产生方波脉冲,让74LS191加减计数器计数,74LS154来进行译码,使得LED 灯的亮灭。

写作技巧