作业帮 > 高中作文 > 教育资讯

finfet介绍

来源:学生作业帮助网 编辑:作业帮 时间:2024/09/25 01:16:21 高中作文
finfet介绍高中作文

篇一:新型结构finFET 及其在SRAM电路的应用

新型结构finFET 及其在SRAM电路的应用

摘要:随着半导体工艺不断发展,CMOS电路尺寸不断缩小,传统的体硅工艺已经很难再满足器件和电路的性能和功耗要求。近年来,一种新型器件结构Fin-type field-effect transistors (finFETs)越来越受到人们的关注,Intel的22nm工艺便采用了这种结构。现在流行的finFET又分为两种结构:independent-gate finFET (IG-finFET)(又名shorted-gate (SG) finFETs)和 tied-gate finFET(TG-finFET)。其中IG-finFET因其多变的工作方式在静态随机存储器(SRAM)电路中受到青睐。

RAM电路的数据存储稳定性已经成为一个引人关注的问题。而利用IG-finFET多变的工作方式,基于IG-finFET的SRAM六管单元,能够减少静态和动态功耗,降低延迟,同时提高数据存储稳定性和集成度。

关键字:IG-finfET TG-finfET SRAM 功耗 读取稳定性

1 新型器件结构的必要性和工艺实现

CMOS工艺的发展主要体现在器件尺寸的不断减小上,而在此过程中,不断增加的亚阈值电流和栅介质泄露电流成为了阻碍CMOS工艺进一步发展的主要因素。与传统的体硅MOSFET相比,finFET器件在抑制亚阈值电流和栅漏电流方面有着绝对的优势。finFET的双栅或半环栅和薄的体硅会抑制短沟效应,从而减小亚阈值漏电流。短沟效应的抑制和栅控能力的增强,使得finFET器件可以使用比传统更厚的栅氧化物。这样,finFET器件的栅漏电流也会减小。而且,finFET器件的体硅一般是轻掺杂甚至不掺杂的,因此,同传统的单栅器件相比,载流子迁移率将会得到提高。finFET器件取代传统体硅器件将是必然。

finFETs for Nanoscale CMOS Digital Integrated Circuits 一文对finFET器件的工艺流程进行了简单的介绍,如下所示:

图1 finFET器件的简单工艺流程

可以看出,这种finFET工艺是在SOI的基础上进行的。其大概流程是这样的:首先是源漏及沟道的图形定义;然后长栅氧和栅;再进行源漏注入和电极生长。可以看出,finFET工艺流程与体硅器件相比也并不是很复杂。

2 finFET器件结构和电学特性

这部分将对finFET器件的物理和电学特性做一个介绍。

本文中的finFET均为对称结构,如图2所示。这是Independent-Gate and Tied-Gate FinFET SRAM Circuits: Design Guidelines for Reduced Area and Enhanced Stability一文中提到的两种结构。

图2:finFET结构(a)TG-finFET的3D模型。(b)IG-finFET的3D模型。(c)IG-finFET的俯视图(沟道长度32nm)。

图2中(a)为TG-finFET,它的栅是连为一体的,所以名叫tied-gate finfET。(b)为IG-finFET,它的栅中间有绝缘体隔离,它的前栅(front gate)和后栅(back gate)是独立的,互不干扰,所以叫independent-gate finFET。

FinFET Circuit Design一文中也提到了类似的两种finfET。

图3:finFET结构(a)SG-finFET的3D模型。(b)IG-finFET的3D模型。

这篇文献把IG-finFET叫做shorted-gate FinFET,而且其IG-finFET也与前面提到的略有不同——它的前后栅不是通过绝缘体隔离,而是直接去掉了顶部的栅,从而起到了隔离作用,但基本结构和原理是一致的。

finFET的宽度W有垂直栅结构决定(见图2)。对于一个只有一个fin的TG-finFET晶体管,它的最小宽度Wmin是

Wmin = 2 × Hfin + tsi

这里,Hfin是finFET的fin的高度,tsi是体硅的厚度,如上图所示。Hfin是Wmin的主要决定因素,因为tsi总是很小。当晶体管不止拥有一个fin时,它的总的宽度Wtotal是

Wtotal = n × Wmin = n× (2 × Hfin + Tsi)

IG-finfET两个独立的栅使其有不同的工作方式。(1)TG模式:双栅连在一起,在相同电压下工作 ;(2) 低功(LP)耗模式(low-power mode):前栅接输入信号而后栅极接无效信号(对于N-finFET,接地;对于P-finFET,接高电平),以减少漏电流,降低功耗(3) IG模式:在这种模式下,前栅接输入信号,而后栅接任意的信号,对器件特性进行调控。TG工作模式下的两个栅极所接信号一致,所以,跟单栅工作模式相比,有较低的栅阈值电压Vth。不同工作模式下的输出特性曲线如图4所示。其中Vgfs是前栅(front gate)和源端的电势差,Vgbs是后栅(back gate)和源端的电势差。

图4 32nm的IG-finfET NMOS器件的输出特性

图5 LP模式下反相器的功耗和延迟与Vgbs的关系

其中,IG模式下的反相器功耗和延迟与Vgbs的关系如图5所示,可以进一步看出Vgbs对器件和电路性能的调控。

篇二:最新晶体管制造工艺技术前瞻

最新晶体管制造工艺技术前瞻

CPU制程?在硬件领域,这个词历来就非常敏感。CPU制程技术的每一次更新都会引起大家关注,现在的晶体管结构所带来的技术瓶颈已经越来越明显,新的技术革新必须跟上。在2009年,Intel和IBM这两大制程技术领导者都在不同的场合对CPU制程技术进行了公开展示,国外的一些资深网站也挖掘了不少相关内幕信息,那么在制程技术发展的这条漫漫之路上,我们不禁要问:敢问路在何方?

制程的历史与演进

CPU制程技术发展到今天,其尺寸已经从1971年Intel发布的4004 CPU时的10μm进化到了今天的32nm级别,Intel公司最新推出的新款处理器—代号

Westmere的32nm制程处理器(见图

1)就是目前顶尖制程工艺的代表。台积电也计划于年内推出其28nm制程工艺,另外一家主要的芯片制造厂商

GlobalFoundries公司则计划于年内推出基于SOI的32nm制程工艺和基于体硅的28nm制程工艺。

图1:45nm制程和32nm制程

不过,从早期的Intel 486时代发展到目前的Westmere,各家厂商制造CPU的制程基本都是基于传统的平面型晶体管结构,熟悉 MOSFET结构的爱好者都知道,所谓的平面型晶体管,指的是MOSFET的漏极、源极、栅极、沟道以及基体结构的横断面位于同一平面上的晶体管结构,如图2所示:

图2

需要说明的是,即便是传统的平面型晶体管技术,业界也存在两种不同的流派,上图中左侧的称为传统的体硅技术(Bulk SI),而右侧的则是相对较新的绝缘层覆硅(SOI)技术,两者的区别在于后者在硅基体顶部增加了一层埋入式氧化物(BOX)层,而BOX上则覆有一层相对较薄的硅层。Intel是体硅技术的坚定支持者,而IBM/AMD则是SOI技术的绝对守护者。

尽管历经了数十年风雨的平面型晶体管制造技术发展至今已经相当的成熟,对各家厂商而言也是最经济的制造技术,但随着晶体管关键尺寸的不断缩小,平面型晶体管技术的瓶颈现象越来越严重。那么是在现有的部分耗尽型平面晶体管(为了行文方便,下文如不作特别说明均用传统平面型晶体管表示部分耗尽型平面晶体管)技术上进行新技术研究还是抛弃现在的传统平面型晶体管以求创新呢?下面我们就以这两个方向作分别阐述。

延续现有晶体管架构

应变硅与HKMG——延续传统平面型晶体管的希望

在过去的几十年中,为了延续传统平面型晶体管制造技术的寿命,弥补关键尺寸缩小给传统平面型晶体管带来的负面效应,以Intel、台积电、AMD(也就是现在的GlobalFoundries)为代表的制造厂商已经开发出了很多能够改善传统平面型晶体管性能的技术,这些技术中,已经投入商用的技术尤以面向改善沟道性能的应变硅技术和改善栅极性能的HKMG(High-K栅氧化物层+金属栅极,此后简称

HKMG)技术为代表,自从Intel在90nm制程的Pentium 4

处理器上首次启用应变硅技术之后,这两种主要的辅助技术便成了各家厂商开发制程技术的两大热点,各家厂商均先后在自家制程工艺中加入了类似的技术,Intel和AMD包括台积电都在90~32nm的演进过程中采用了应变硅技术和HKMG技术,尽管他们的具体实现手法不同。为了读者能够更好的理解本文,下面我们就对这两种技术进行简单的介绍。

a.应变硅技术

注意图3中的“启用eSiGe(嵌入式硅锗)材料”,指的便是专门用于改善传统平面型晶体管管沟道性能的应变硅技术中的一种,应变硅技术的实质是改善沟道中空穴/电子流动的速度。

图3

eSiGe技术主要面向PMOS管,其原理是在PMOS管的漏源区外延生长一层晶格常数(即晶格原子之间的距离)比PMOS沟道中硅材料的晶格常数更大的SiGe层,以此来生成对PMOS管沟道压缩应力的技术,其原理如图3所示。根据研究,当向PMOS管沟道施加纵向(即栅极宽度方向)的压缩应力时,可以大大改善沟道的载流子移动性,提升效率。

PMOS是指N型衬底、P沟道,靠空穴的流动运送电流的MOS管,全称为P-channel Metal Oxide Semiconductor FET;NMOS是指P型衬底,N沟道,依靠电子的流动来运行电流的MOS管。全称为N-channel Metal Oxide Semiconductor FET。

b.HKMG技术

HKMG是以High-K绝缘层替代传统的SiO2氧化层,并以金属材料栅极替换旧有的硅材料栅极的一项技术,这项技术主要有助于晶体管开关速度的提升,并可减小栅极的漏电流。我们可以看到,Intel、AMD和台积电都在自己的制程工艺规划中加入了HKMG技术,说明这项技术得到了三巨头的普遍认可。图4是Intel 45nm制程NMOS管的HKMG结构实物图:因为篇幅有限再加上这两个技术点非常复杂,这里就不对应变硅和HKMG进行展开描述了。

图4

看到这里可能你会问,应变硅和HKMG技术不就可以让传统平面型晶体管一直延续下去了吗?非也,当制程下降到15nm以下后,传统平面型晶体管本身的技术壁垒将成为很难逾越的大山,除非在这段时间内又有新的“奇兵”技术出现。 传统平面型晶体管技术的瓶颈

尽管应变硅和HKMG技术曾经相当有效,而且在过去的一段时间里也起到了成功延续传统平面型晶体管寿命的重要作用,但以栅极宽度为代表的关键尺寸的不断减小所带来的负面效应已经越来越明显。

篇三:2015的高通操心2016

2015的高通操心2016

如之前报道所说,高通在MWC" style="outline-style: none; color: rgb(51, 51, 51); text-decoration: none; font-family: Verdana, Arial, 微软雅黑, 宋体; line-height: 30px; white-space: normal; background-color: rgb(255, 255, 255);">MWC的发布会上“稍微”透露了一点下一代旗舰手机处理器产品Snapdragon 820的消息,主要集中于三点: 将采用FinFET(鳍式场效晶体管)工艺制造;

将采用代号为kryo的64位处理器核心;

将整合Zeroth的深度学习平台。

而在会后的小组群访当中,高通市场营销副总裁蒂姆(Tim Macdonough)则讲了一句意味深长的话,“现在的产品竞争集中体现在性能,越来越快的 CPU、GPU,更大的RAM等等。但是,是什么才能令手机产品变得越来越有趣呢?”

高通的答案是Zeroth平台。在高通发布会当场,Qualcomm Technologies执行副总裁兼 QCT 联席总裁克里斯蒂安诺 · 阿蒙说,“通过充分发挥移动终端不断进步的计算、多媒体和连接性能,未来顶级的移动体验将超越传统的特性和功能,并被那些拥有学习能力并适应用户需求的终端来定义。在MWC 2015上,我们将通过Zeroth平台向实现这一愿景迈出最初几步,为移动终端更高层级的智能化和个性化搭建舞台。Zeroth智能平台的应用将扩展到汽车、可穿戴设备、智能手机和客户端计算等一系列广泛领域,它具有一种习得的个性化,能够在不同终端之间迁移,并将推动消费者体验向下一代升级。”

蒂姆确认,Snapdragon 820将是第一个搭载Zeroth平台的高通产品。由于只有高通认为拥有极大潜力的技术,才会率先运用在旗舰产品上,然后再随着时间,普及到高通全系列的产品线当中。从这一点看,Zeroth 平台本身就含着“金钥匙”出生,它所受到的待遇表明,高通对该技术平台抱有很高的期望。

从高通官网的介绍来看,Zeroth是一个综合运用了多项技术的平台。包括图像识别、音频识别,模式识别,深度学习神经网络等等。而在群访现场,蒂姆也带来了样机展示。从现场上手的体验来看,尽管它在识别人与物体上,还存在识别不出来或者识别缓慢的情况,毕竟是早期工程版本。然而,有趣的是Zeroth首先会识别场景(比如说“室内”、“室外”),然后再判断画面当中的物体是什么。

从这一点来看,高通认为未来人们使用设备的方式是“场景化”的:任何数据,都必须添加了场景的信息之后,才能准确地反映其意义。或者说,判断意义之前,先判断场景,弄清楚数据的上下文。现在的 Zeroth除了可以判断图像中的场景以及物体外,还可以识别人的笔迹,而未来它将包含图像识别、音频识别两部分,拓宽技术的使用场景。

相比当下的人工智能产品而言,Zeroth的特点在于它基于“板上计算”,而非“云上计算”——图像、音频的识别,都在本地完成,无需上传到云端。

在会议上,蒂姆还暗示Zeroth将开放SDK,让更多厂商可以结合这项技术,发展有意思的功能。通过图片来训练Zeroth来识别图片当中的内容。它也可以反过来,对图片自动分类,以及分辨出图像当中的内容。Zeroth可以和其它的方面综合整合:识别笔记、与密码结合、声音分析。

至于当下Zeroth最实际的功能,就是通过“图像识别”的方式为手机储存的照片自动进行分类。蒂姆所带来的样机,包含了这一功能的展示:

在高通官网检索“Zeroth”字眼,会发现它最早出现在2013年11月的一则新闻离,当时高通称要研发出不同于当下手机处理器的芯片产品——模拟人脑神经网络的Zeroth处理器,被称之为Neural Processing Unit。2014年9月高通面向机器人开发社区发布3D打印

机器人,Snapdragon Micro Rover。该机器人的部分技术来自Zeroth项目的成果。从Zeroth的发展历史,结合蒂姆群访当场承认Zeroth是一个软硬件相结合的项目,Zeroth很可能是一颗独立的芯片,另一种类型的协处理器。

当前,加入高通所组建的“万物互联”(Internet of Everything)联盟AllSeen的企业已经超过120个。该联盟提倡开源的端对端开源通信架构AllJoyn,令不同厂商的产品可以在同一的通信协议基础上互联互通。而在AllJoyn统一的通信架构下,高通还针对LED照明以及无线音箱提供子协议集AllPlay。——小组群访中高通万物互联产品管理高级总监Sayeed Choudhuy距离说,“比如说,HTC支持AllPlay的设备,可以与其它支持AllJoy的设备互联互通,人可以通过HTC发送一个语音指令,命令灯泡的开关。”

从未来来看,Zeroth可与AllJoyn联手,通过场景识别、用户行为模式识别,令手机变成控制诸多设备的自动化终端,组成一个庞大的智能网络。

你觉得高通的Zeroth会令手机变得更加有趣吗?——除了更强的性能外,更加智能,更加自动化,也具备更多与更多设备交互的能力。

蒂姆称,鉴于高通会将最新技术不断向中低端产品延伸,Zeroth 技术平台也会出现在600、400以及200系列处理器产品上,然而这需要一定的时间。

篇四:半导体行业新技术

半导体行业的新技术及发展前景

半导体行业的新技术及发展

半导体产品中目前以DRAM、SoC和NAND闪存三者最为重要。随着半导体工艺技术的发展,加速缩小芯片尺寸而降低成本成为业界竞争焦点。上世纪80年代后半期,DRAM充当了半导体技术发展的驱动器,进入21世纪,逻辑芯片或许将成为半导体产业的推动力。

目前45nm已是量产技术,32nm已开发成功并推出产品,22nm则是下一个开发目标。据ITRS(国际半导体技术发展路线图)预测,今后半导体工艺还将继续向前发展,2016年可望达到10nm。摩尔定律在英特尔和IBM两大半导体主导公司的坚挺下依然存活,但需要面对的不仅是技术问题,还有更紧迫的经济问题,因此,竞争前的合作无疑已是今天技术发展至为重要的关键因素。

器件的发展目标是一个永恒的课题,工艺发展目标是支持器件发展的具体手段,材料和生产设备则是成功的基础。器件和工艺的发展目标,高集成、多功能和低功耗是半导体器件追求的主要目标,与之相配合,器件的结构包括新型CMOS结构和三维器件结构等也需同时发展。工艺的发展目标如微细加工技术、新材料引进、更大的晶圆直径等都很重要,具体的工艺技术则包括了新的光刻技术、新材料加工处理技术、薄膜技术、高K/金属栅/低k/连接技术等。 英特尔公司的发展一直受到PC强势需求、战略研发投资和制造工艺不断革新的驱动,始终保持着领先的地位并引领着业界的前进。它的Atom芯片设计已实现1GHz的工作频率,而功耗减少到上一代产品的1/10。未来的处理器将在板上集成centrino无线电路。IBM公司通过产学官的合作,最近投资15亿美元探索“后CMOS”(beyond CMOS)技术,并计划与大学合作组织半导体封装中心。IBM与AMD、飞思卡尔、意法半导体、东芝等5家公司以及美国纳米科学与工程学院(CNSE)共同率先在业界开发出22nm工艺的SRAM,并已确认可正常工作。 摩尔定律的存在已超过了40个年头,业界也一直在反思和思考,除了每10~12个月使芯片密度翻番(摩尔定律)之外,有没有别的途径可使芯片增加价值。答案是肯定的,这就是所谓“超越摩尔定律”(more than Moore's Law)。就当前而言,在数字消费电子的牵引下,化合物、TSV(Through silieon via,硅贯通电极)和MEMS三者对推进半导体技术发展具有强大魅力。

观察今年召开的ISSCC(国际固态电路会议)大会,可看到半导体技术发展的最新动态,以及各公司在经济不景气的情况下如何迎接变革。会上继续积极推进CMOS工艺发展的仅是少数企业,而更受人注目的则是有关促进高集成化/低成本化的技术,以及医疗,能源等新领域的发展。

非微细化领域以应用多样化为代表,一是以医疗和能源为中心开拓新的市场;一是以SiC、有机材料、强电介质材料等为主的灵活应用。

模拟技术论文包括面向测控设备的高精度CMOS放大器(采用低Chopping技术),灵活应用离散时间模拟信号处理器的PLL,高输出功率的D类音频放大器等,独特的模拟技术提案数量甚多。

RF领域中,CMOS电路技术的进展明显。许多论文都是关于在一个终端里如何利用高集成化的CMOS技术,来将多模/多频转化为可编程的通用无线技术。尤其受到关注的是荷兰Twente大学的软件无线电接收电路。毫米波CMOS已超过100GHz,美国加州大学等开发的65nm CMOS放大器已可在150GHz频段工作。 随着半导体工艺的发展,集成23亿个晶体管的微处理器已经亮相,芯片上的晶体管数还会继续增加。使用这么多晶体管的系统性能可大大提高。此外,处理器的多核化、存储系统、芯片间的通信、可靠性、功耗、时钟频率、电源等综合技术的开发十分重要,也引起了业界重视。英特尔公司发表的片上电源控制用微控制器,厚71μm,采用低阻抗金属布线,功率从不到10w到130W,适用于便携设备到服务器的广泛领域。另外,该公司发表的45nm6核Xeomg处理器通过FSB(前端总线)可实现与存储系统之间1.066GT/s的通信,功耗为65W。

存储器方面,NAND存储器的微细化已达到34nm~43nm的水平,多值技术已推进到3位/单元~4位/单元,实现了32Gb~64Gb的容量。闪存叠层的三维SSD向着低功耗的方向发展,东京大学与东芝公司推出的SSD产品的功耗可减少68%,日本庆应义塾大学等发表的三维SSD通过磁场耦合使接口功耗减少了一半,传输速率达2Gbps。

三星公司利用TSV技术将4个2Gb DRAM进行三维叠层,实现了业界最大容量的8Gb DRAM。东芝公司发布了旨在取代DRAM的128Mb Chain FeRAM,采用0.13μm工艺,数据传输速率达1.6GBps。 2013年中国IC行业在资本运作层面出现了一个小高潮:9月底澜起科技在美国Nasdaq成功上市,成为过去10年在美国上市的第4家中国集成电路设计企业,也是近3年来在美国上市的唯一的中国集成电路设计企业。在此之前,同方国芯以定向增发的方式实现了对深圳国微电子的合并;紫光集团斥资17.8亿美元收购了展讯通信,创造了中国集成电路设计业最大的资本并购案,展现出资本市场对集成电路设计企业的高度兴趣。除此之外,在技术研发、新品发布、专利权交易诸多层面,中国集成电路均表现出了相当的活跃度。相比之下,2013年

全球半导体增长率可能只有1%。

然而,活跃的市场并没有给中国半导体业者带来成就与满足,当今大多数中国半导体人员都存在一种危机感,这种危机感可以从近日召开的“2013北京微电子国际研讨会”所有演讲嘉宾的发言中清楚地感受出来。

压力不仅来源于中国IC企业长期存在的小而散、创新能力不足等老大难问题久拖无解,更来自于国际半导体业界日益临近的技术变革,已对中国企业的生存形成挑战。在当前形势之下,中国半导体要想不被淘汰,进而取得进步与发展,只有跳出以往的窠臼,探寻全新的发展思路,包括管理模式创新、投资模式创新,以及商业模式创新等。

半导体健康发展事关网络和信息安全

集成电路产业的重要性不言而喻,它是信息技术产业的核心,国家重要的基础性、先导性和战略性产业。对此,国务院副总理马凯日前在深圳、杭州和上海调研时多次强调指出,发展集成电路产业是中央的战略决策,并将集成电路产业的发展提升到推动经济转型升级和保障国家安全的高度。

如此重视,不仅因为集成电路产品应用遍及通信、计算机、多媒体、智能卡、导航、功率器件、模拟器件和消费类电子等不同领域,市场庞大(根据中国半导体行业协会数据,2012年中国半导体市场规模近万亿元),还因为这个市场所蕴含的机会,在未来依然无比诱人。华美半导体协会会长兼理事长彭亮指出,在世界进入后PC时代后,未来几年围绕移动智能终端所开发的各类芯片仍然是市场热点,将维持高速发展的态势。

中国半导体行业协会集成电路设计分会理事长魏少军指出,集成电路作为电子信息产业的核心和基础,其发展不仅对IC业自身至关重要,更会对下游的整机系统产生重大影响,是事关网络和信息安全的大事。中科院微电子所所长叶甜春指出,集成电路已成为事关国家竞争力的战略高技术产品,集成电路制造技术是大国综合科技实力竞争的必争战略制高点。中国高端制造装备与材料依赖进口,制造工艺缺乏自主知识产权的局面必须扭转。而庞大的市场需求提供了历史性的产业发展机遇与空间。

finfet介绍

然而,随着半导体迈向14nm时代,产业型态正处在一个发生重大技术变革的端口,以往我们熟知的产业周期正在逐渐消失,以往习惯的发展节律也有可

能被打乱,这给仍以小、微企业为主的中国IC设计业带来了严重挑战。

全球集成电路正进入后摩尔定律时代,工艺不断走向细微化。提高光刻分辨率的途径有3种:缩短曝光波长、增大镜头的数值孔径NA以及减少k1。显然,缩短波长是主要的也是方便易行的。目前市场的193nmArF光源是首选,再加入浸液式技术等,实际上达到28nm几乎已是极限(需要OPC等技术的帮助)。所以fabless公司NVIDIA的CEO黄仁勋多次指出工艺制程在22nm/20nm时的成本一定比28nm高,因为当工艺尺寸缩小到22nm/20nm时,传统的光刻技术已无能为力,必须采用辅助的两次图形曝光技术(DP)。从原理上DP技术易于理解,甚至可以三次或者四次曝光,但是必然带来两个大问题:一个是光刻加掩模的成本迅速上升,另一个是工艺的循环周期延长。一个有关成本的数字是,从65nm、45nm、28nm、22nm、16nm一路发展下来,芯片的研发制造成本越来越高。22nm工艺节点上,一条达到盈亏平衡的生产线预计投资需要高达80亿美元~100亿美元,16nm工艺节点时可能达到120亿美元~150亿美元。

除了尺寸缩小之外,半导体产业尚有450mm硅片、TSV3D封装、FinFET结构与III-V族作沟道材料等诸多新的关键技术工艺逐渐达到实施阶段。以至于目前只有少数高端芯片设计公司可以负担这些研发费用,继续跟踪定律的厂家数量越来越少。近两年来,尽管全球半导体业几乎徘徊在3000亿美元左右,但是代工业却创造了一个又一个奇迹。2012年全球半导体增长不足1%的时候,代工业销售额却达到345.7亿美元,与2011年的307亿美元相比增长率达12.6%。

发展中国半导体产业需要创新思路

集成电路设计企业说到底是一个产品企业,其生存和发展依赖的是企业的芯片产品,在同质化严重的大背景下,创新成为设计企业突围的关键,当然这种创新不仅局限在产品创新、技术创新上,管理模式创新、投资模式创新以及商业模式创新等也极为重要。

首先是组织模式创新。在探讨我国IC产业发展模式时,业界经常呼吁要尽快实现整机和芯片联动,以使芯片企业能够通过与国内整机企业的合作,更好地把握市场需求,增强市场拓展能力;而整机企业也可通过联动,得到芯片企业更好的技术支持,提升核心竞争能力。然而这一设想一直缺乏有效的组织管理机构和协调机制。根据魏少军的介绍,未来我国有望成立一个国家级的集成电路

篇五:28nm之后,令人望而生畏的巨额成本

28nm之后,令人望而生畏的巨额成本 来源:电子工程专辑 作者:孙昌旭

[导读] 如果说目前开一个28nm的芯片200-300万美元对很多公司来说已是不堪重负,那么,未来,开一款16nm的芯片成本将在千万美元左右,而开一款10nm的芯片,从现在各项投入来看,可能需要达到1.3亿美元。

关键词:FD-SOI技术28nm

28nm后,芯片的流片成本将成指数级增长。“如果说目前开一个28nm的芯片200-300万美元对很多公司来说已是不堪重负,那么,未来,开一款16nm的芯片成本将在千万美元左右,而开一款10nm的芯片,从现在各项投入来看,可能需要达到1.3亿美元。”在前不久举办的“2014上海FD- SOI论坛”上,芯原微电子公司技术市场和应用工程师资深总监汪洋说道。不过,尽管如此昂贵,他透露国内仍有一些真土豪提出要开16nm的芯片,主要是那些为挖金币而定制的处理器芯片。

如果说28nm的设备与各方面的投入已经令人望而生畏,那么其之后的工艺,各种设备投入则会变成天文数字,并且,现在主流的FinFETs技术路线成本会更高,实现难度也更大。著名研究机构International Business Strategies(IBS) CEO Handel Jones在会上大力推荐FD-SOI技术路线。他分析:“FinFETs 在设计上具有高成本与高难度,英特尔也由于这个原因,导致其14nm产品由于技术爬坡,延迟了15个月量产。三栅极产品是晶圆厂与无晶圆厂的芯片公司共同面临的重大难题。”他说道,“考虑到FD-SOI功耗比BULK CMOS低,但是成本又比FinFets便宜。所以,我认为FD-SOI是衔接28nm BULK CMOS工艺与FinFETs的最好的技术。”

据会上介绍,韩国三星会同时走两条路线,并且正在考虑更偏向 FD SOI。“三星明年一季度会有28nm FD-SOI量产工艺推出,同时,其28nm的BULK CMOS工艺会有大量产能放出来。明年28nm芯片的流片成本会快速下降。”汪洋分析。这个对于中国IC公司来说是一个好消息,因为目前仅有的三四家

28nm晶圆厂,不仅流片成本贵,而且中国公司根本抢不到产能。据昌旭了解,今年下半年,因为苹果的iPhone6和iPhone6 Plus占了TSMC大量的20nm产能,导致像联发科技这种TSMC的重要客户也拿不到所需要的28nm足够产能,更不用说大陆的IC公司。并且,明年高端的手机芯片会转向20nm了,比如高通的

S810系列明年初量产就是20nm了;而海思更是宣布已开始与TSMC在试产包括网络芯片与手机芯片两种产品的16nm工艺。

好了,下面我们来看看Handel Jones所分析的,在未来28后世代芯片产业链的巨额成本。

目前28nm的芯片哪些领域在用?

我们先看看目前热门的28nm工艺,主要是哪些应用在采用?图下分析可以看出,2015年至2016年,28nm的工艺主要应用领域还是手机应用处理器和基带。但是,其它应用上来也很快,比如说OTT盒子和智能电视等市场。至2019年与2020年的时候,混合信号产品和摄像芯片也将会采用28nm的工艺了,当然,那时候,手机芯片就会采用更高端工艺了,看后面的分析。

并且,从下图可以看出,28nm工艺节点将会有一个较长的时间窗口,也就是它会在很长一段时间内是高端主流的工艺节点,因为成本的关系,大家不会很快地转向16nm/14nm。

FD SOI工艺的市场潜力分析

目前,IBM与ST在32nm与28nm上提供FD SOI工艺,IBM的产能最大,ST的产能小。明年,三星开始在28nm节点上推FD SOI。“目前制约FD SOI产能的一个重要因素是芯片载板材料短缺。全球主要有三家FD SOI载板材料厂商:Sun Edison、Soitec以及SEH。”芯原FAE总监汪洋说道。从下图看,IBS对于FD SOI工艺的预期是非常高的。“物联网上需要的SoC芯片,基于小尺寸、低功耗、长寿命周期,并且是数模混合的IC,这些都是FD SOI工艺非常适合的。”Handel Jones解释。他特别看好物联网芯片未来对FD SOI工艺的需求。

FD SOI工艺成本优势分析

IBS认为,在28nm与14nm两个节点上,FD SOI工艺都具有Wafer成本优势。并且28nm FD SOI与目前的28nm普通工艺比,没有太多的Wafer成本提升。

每百万门的成本来看,FD SOI也具有很大的优势。

上图:分析各种工艺晶圆厂的使用寿命,FinFETs的寿命最短。

IBS认为,拿物联网的应用来看,由于其对低功耗与低成本的要求,FD SOI是最佳选择。

28nm后世代芯片巨额成本分析

如下图,对于FinFet,完成一个14/16nm的设计,成本高达2.127亿美元。

高中作文